Disclosed is an improved method and system for implementing metal fill for an integrated circuit design. When an engineering change order is implemented, the existing dummy metal fill geometries are initially ignored when modifying the layout, even if this results in shorts and/or other DRC violations. Once the ECO changes have been implemented, those violations caused by interaction between the changes and the metal fill are repaired afterwards.

 
Web www.patentalert.com

< Deploying network element management system provisioning services

> Optical module

> Mapping online service user ID to portal user ID

~ 00578