Integration of silicon boron nitride in high voltage semiconductors is generally described. In one example, a microelectronic apparatus includes a semiconductor substrate upon which transistors of an integrated circuit are formed, a plurality of transistor gates formed upon the semiconductor substrate, a gate spacer dielectric disposed between the gates, and a contact etch stop dielectric disposed upon the gates and gate spacer dielectric, the contact etch stop dielectric comprising silicon boron nitride (SiBN) to reduce breakdown of the contact etch stop dielectric in high voltage applications.

 
Web www.patentalert.com

< Semiconductor ESD device and methods of protecting a semiconductor device

> Aluminum leadframes for semiconductor QFN/SON devices

> Memory card and method for devising

~ 00566