A method is provided for characterizing an immersion lithography process of a device using an immersion liquid. In order to study pre-soak and post-soak effects on the image performance of an immersion lithography process, the method includes determining at least one image performance characteristic as function of contact times between the immersion liquid and the device for a device illuminated in a dry lithography process and contacted with said immersion liquid prior and/or after said illumination. Based on the image performance characteristic, a lithography process characteristic is derived for the immersion lithography process.

 
Web www.patentalert.com

< Method and system for optical distance and angle measurement

> Finite impulse response digital to analog converter

> Distributed dynamic routing

~ 00515