Split and merge design flow concept for fast turnaround time of circuit layout design

   
   

A method and system is disclosed to improve the turnaround time to provide adequate time to meet project schedules in the event that adjustments or modifications to the design are necessary. A method for improving a turnaround time for design verification of a process database representing a semiconductor design includes the steps of (a) deriving a timing database and a (DNE) database from the process database; (b) performing, using the timing database, one or more design changes and one or more timing verifications and corrections to generate a modified timing database; (c) initiating, using the process database, physical validation of the semiconductor design prior to completion of step (b) to generate a modified DNE database; (d) merging the modified timing database with the modified DNE database to form a modified process database; and (e) performing, using the modified process database, one or more design verification checks of the semiconductor design.

 
Web www.patentalert.com

< Method of delivering formatted documents over a communications network

< System for facilitating personal communications with multiple wireless transmit/receive units

> Text communication method and text communication system

> Reverse content harvester

~ 00182