Method and apparatus for online detection and correction of faults affecting system-on-chip buses

   
   

This paper presents a methodology for designing System-On-Chip interconnection architectures providing a high level of protection from crosstalk effects. An event driven simulator enriched with fault injection capabilities is exploited to evaluate the dependability level of the system being designed. The simulation environment supports several bus coding protocols and thus designers can easily evaluate different design alternatives. To enhance the dependability level of the interconnection architecture, we propose a distributed bus guardian scheme, where dedicated hardware modules monitor the integrity of the information transmitted over the bus and provide error correction mechanisms.

Dieses Papier stellt eine Methodenlehre für das Entwerfen der System-Auf-Span Verbindung Architektur dar, die ein hohes Niveau des Schutzes vor Übersprecheneffekten bereitstellt. Ein Fall gefahrener Simulator, der mit Störung Einspritzungfähigkeiten angereichert wird, wird ausgenutzt, um das Zuverlässigkeitniveau des Systems auszuwerten, das entworfen ist. Das Simulation Klima stützt mehrere Buskodierungprotokolle und folglich können Entwerfer unterschiedliche Designalternativen leicht auswerten. Das Zuverlässigkeitniveau der Verbindung Architektur erhöhen, schlagen wir einen verteilten Buswächterentwurf vor, in dem engagierte technische Bausteine die Vollständigkeit der Informationen überwachen, die über den Bus übertragen werden und Fehlerkorrektion Einheiten liefern.

 
Web www.patentalert.com

< Firewall clustering for multiple network servers

< Configuration for identifying a switch position of a power switch

> Programmable logic device architecture based on arrays of LUT-based Boolean terms

> Voltage mismatch tolerant input/output buffer

~ 00173