Method for automatically-remapping an HDL netlist to provide compatibility with pre-synthesis behavioral test benches

   
   

A computer implemented apparatus and method that automates the entry, modification, analysis, and generation of test benches from electrical circuits, both of which are specified as hardware description language (HDL) files. The computer implemented-method and apparatus also provides a unique mechanism that blends entry and display of timing requirements that must be met by the electric circuit.

Um computador executou o instrumento e o método que automatiza a entrada, a modificação, a análise, e a geração de bancos do teste dos circuitos elétricos, ambos que são especificados como limas da língua de descrição da ferragem (HDL). O execut-método e o instrumento do computador fornecem também um mecanismo original que misture a entrada e a exposição das exigências do sincronismo que devem ser encontradas com pelo circuito elétrico.

 
Web www.patentalert.com

< Method and apparatus for modeling using a hardware-software co-verification environment

< Fixed-depth of investigation log for multi-spacing multi-frequency LWD resistivity tools

> Steroid-activated nuclear receptors and uses therefor

> Fishing spinning reel

~ 00140