A ground shield is disclosed that includes a `cheesed` metal positioned within a dielectric layer and a metal region positioned within a first metal level over the cheesed metal. The ground shield can have different forms depending on the metal used, and provisions are made to prevent diffusion of copper (Cu) when that is used as the metal in the cheese metal of the ground shield. The ground shield provides a low resistance, very thick metal at a first metal (M1) level for passive RF elements in conjunction with the standard back-end-of-line (BEOL) integration. The invention also includes a method of forming the ground shield.

 
Web www.patentalert.com

< Substituted thienopyrrole carboxylic acid amides, pyrrolothiazole carboxylic acid amides, and related analogs as inhibitors of casein kinase I

> Process for producing cheese curd

> Polynucleotides encoding coccidian parasite casein kinase I, a chemotherapeutic target for antiprotozoal agents

~ 00577