A processor-implemented method is provided for determining programmable connections through a switchbox module of a programmable logic device (PLD) design. A netlist that describes the PLD design and an identification of the switchbox module are input. Characterization data is input for each multiplexer module of the switchbox module. The characterization data specifies input pins and at least one output pin of the multiplexer module. The multiplexer module programmably connects each output pin to one of the input pins. Pins of the switchbox module are determined through which the programmable connections are provided via an instance of a multiplexer module of the switchbox module. Each pair of the pins of the switchbox module is determined that are functionally connected via at least one instance of the at least one multiplexer module, with each pair specifying a programmable connection. A specification of the programmable connections is output.

 
Web www.patentalert.com

< Cache disassociation detection

> Determining controlling pins for a tile module of a programmable logic device

~ 00473