An UV treatment for making a low-k dielectric layer having improved properties in a damascene structure. A low-k dielectric layer in a damascene structure is subjected to an UV treatment with He gas or H.sub.2 gas to eliminate etching damage to the exposed surfaces of the low-k dielectric layer.

 
Web www.patentalert.com

< Method of forming trench isolation in the fabrication of integrated circuitry

> Method for the production of a substrate

~ 00464