A new partial product bit generator is used to generate a partial product bit PPji. In some embodiments, the partial product bit generator generates the partial product bit PPji from intermediate signals that are able to be generated concurrently, for example in two levels of combinatorial logic. The partial product bit PPji is then able to be generated from the intermediate signal, for example in only one level of combinatorial logic. In such embodiments, a long series of combinatorial logic operations is not required.

 
Web www.patentalert.com

< Low power control circuit and method for a memory device

< Digital loop diagnostic port control monitor

> Method and system for file-system based caching

> Web server for communicating with one or more electronic devices through a gateway computer

~ 00250