A functional random instruction testing (FRIT) method is provided for testing complex devices. The method comprises the steps of: generating a FRIT kernel which includes a software built-in self-test engine (SBE) configured to execute a re-generative functional test of the complex device under test (DUT), and an expected test result obtained from computer modeling of a complex device under test (DUT) or from a known good device; converting the FRIT kernel into kernel test patterns and storing the kernel test patterns in a tester memory; loading, at the tester, the kernel test patterns stored in the tester memory onto an on-board memory of the complex device under test (DUT), via an interface; executing, at the complex device under test (DUT), a re-generative functional test of the complex device under test (DUT) by applying the kernel test patterns to the complex device under test (DUT); and comparing, at the tester, a test result of the re-generative functional test with a test expected result to check for manufacturing defects.

 
Web www.patentalert.com

< Managing power on integrated circuits using power islands

< Self-throttling error-correction buffer and method for a disc drive

> Method of designing custom circuit device using scheduling clock cycles

> Methods for saving data on the basis of the remaining capacity of a battery in a suspend mode and resuming operations using the saved data

~ 00209