Method to deposit an impermeable film on porous low-k dielectric film

   
   

A method for improving the adhesion of an impermeable film on a porous low-k dielectric film in an interconnect structure is disclosed. The method provides an in-situ annealing step before the deposition of the impermeable film to release the volatile trapped molecules such as water, alcohol, HCl, and HF vapor, inside the pores of the porous low-k dielectric film. The method also provides an in-situ deposition step of the impermeable film right after the deposition of the porous low dielectric film without exposure to an atmosphere containing trappable molecules. The method further provides an in-situ deposition step of the impermeable film right after the removal a portion of the porous low-k dielectric film without exposure to an atmosphere containing trappable molecules. By the removal of all trapped molecules inside the porous low-k dielectric film, the adhesion between the deposited impermeable film and the low-k dielectric film is improved. This method is applicable to many porous low-k dielectric films such as porous hydrosilsesquioxane or porous methyl silsesquioxane, porous silica structures such as aerogel, low temperature deposited silicon carbon films, low temperature deposited Si-O-C films and methyl doped porous silica.

 
Web www.patentalert.com

< Structure and material for assembling a low-K Si die to achieve a low warpage and industrial grade reliability flip chip package with organic substrate

< Anisotropic conductive sheet and wafer inspection device

> Hydrogen storage and supply system

> Safety method, device and system for an energy storage device

~ 00194