A method, and system in support thereof, of specifying hardware description language assertions targeting a diverse set of verification tools to provide verification of a logic design by the set of verification tools. The constraints and properties of the logic design are described in the HDL using one or more high-level assertion specification macros representative of the assertions of the logic design. The one or more assertion specification macros are stored as components within a specification macro library for later retrieval as needed. Upon reading original HDL source code containing assertion macro calls to the assertion specification macros, a specification macro processor accesses the definitions of the assertion macros stored, if contained within a definition library, and uses these definitions as templates to automatically write expansion HDL code into the HDL source code and to automatically store tool-specific HDL code into corresponding tool-specific modules libraries for later use by one or more verification tools. If definitions of one or more of the assertion macros are not contained with the definition library, they may be written as needed.

Um método, e sistema na sustentação disso, de especificar as afirmações da língua de descrição da ferragem que alvejam um jogo diverso de ferramentas da verificação para fornecer a verificação de um projeto da lógica pelo jogo de ferramentas da verificação. Os confinamentes e as propriedades do projeto da lógica são descritos no HDL usando um ou mais representante high-level dos macros da especificação da afirmação das afirmações do projeto da lógica. O um ou os mais macros da especificação da afirmação é armazenado como componentes dentro de uma biblioteca macro da especificação para uma recuperação mais atrasada como necessitado. Em cima do código de fonte original da leitura HDL que contem chamadas macro da afirmação aos macros da especificação da afirmação, um processador macro da especificação alcança as definições dos macros da afirmação armazenados, se contido dentro de uma biblioteca da definição, e usa estas definições como moldes escrever automaticamente o código da expansão HDL no código de fonte de HDL e armazenar automaticamente o código ferramenta-específico de HDL em bibliotecas ferramenta-específicas correspondentes dos módulos para um uso mais atrasado por um ou mais ferramenta da verificação. Se as definições de uma ou mais dos macros da afirmação não forem contidas com a biblioteca da definição, podem ser escritas como necessitadas.

 
Web www.patentalert.com

< System and method for specifying hardware description language assertions targeting a diverse set of verification tools

< System and method for specifying hardware description language assertions targeting a diverse set of verification tools

> Masking error detection/correction latency in multilevel cache transfers

> Method and apparatus in a data processing system for faster notification of errors in a software build

~ 00077