Each of plural rows in an aligned Instruction cache (AIC) contains a plurality of aligned sectors, each sector having space for a block of sequentially-addressed instructions in an executing program. A "fetch history table" (FHT) contains FHT sets of FHT entries for specifying execution sequences of the sectors in associated AIC rows. Each FHT entry in a FHT set specifies an AIC row and a sector sequence arrangement to be outputted from that row. In this manner, each FHT entry can associate itself with any row in the AIC and is capable of specifying any output order among the sectors in its associated row. Unique fields are selected in each instruction address for locating an associated FHT set, and for associating the instruction address with an AIC sector through a unique "sector distribution table" (SDT) to locate the sector which starts with the instruction having this instruction address. A program is executed using "FHT cycles", in which each FHT cycle uses a predicted instruction address and a prediction vector, both being matched with the FHT entries in the located FHT set. A FHT hit occurs if a match is found for a valid FHT entry. A hit FHT entry is used to control outgating for execution of a plurality of AIC sectors in the associated AIC row without branch instruction overhead. A FHT miss occurs when no hit FHT entry is found in a FHT cycle, and a new FHT entry is generated during the FHT cycle using conventional branch instruction execution until the new FHT entry is completed. During the FHT entry generation process, the SDT is Pused to locate AIC sectors to be specified in the sector sequence for the current FHT entry being generated during a FHT miss cycle.

Jede von plural Reihen in einem ausgerichteten Anweisung Pufferspeicher (AIC) enthält eine Mehrzahl der ausgerichteten Sektoren, jeden Sektor, der Raum für einen Block der aufeinanderfolgend-adressierten Anweisungen in einem Durchführung Programm hat. "holen Sie Geschichte Tabelle" (FHT) enthält FHT Sätze FHT Eintragungen für das Spezifizieren der Durchführung Reihenfolgen der Sektoren in verbundenen AIC Reihen. Jede FHT Eintragung in einem FHT Satz spezifiziert eine AIC Reihe und eine Sektorreihenfolge Anordnung zum zu sein outputted von dieser Reihe. In dieser Weise kann jede FHT Eintragung mit jeder möglicher Reihe im AIC sich verbinden und ist zum Spezifizieren irgendeines Ausgang Auftrages unter den Sektoren in seiner verbundenen Reihe fähig. Einzigartig fängt werden vorgewählt in jeder Instruktionsadresse für das Lokalisieren eines verbundenen FHT Satzes und für das Verbinden der Instruktionsadresse mit einem AIC Sektor durch eine einzigartige "Sektorverteilung Tabelle" (SDT) um den Sektor zu lokalisieren auf, der mit der Anweisung beginnt, die diese Instruktionsadresse hat. Ein Programm wird mit "FHT einen.Kreislauf.durchmacht", durchgeführt in dem jeder FHT Zyklus eine vorausgesagte Instruktionsadresse und einen Vorhersagevektor verwendet, beide, die mit den FHT Eintragungen im lokalisierten FHT Satz zusammengepaßt werden. Ein FHT Erfolg tritt auf, wenn ein Gleiches für eine gültige FHT Eintragung gefunden wird. Eine Erfolg FHT Eintragung wird benutzt, um das Outgating für Durchführung einer Mehrzahl der AIC Sektoren in der verbundenen AIC Reihe ohne Verzweigungsbefehlunkosten zu steuern. Ein FHT Verlust tritt auf, wenn keine Erfolg FHT Eintragung in einem FHT Zyklus gefunden wird, und eine neue FHT Eintragung wird während des FHT Zyklus mit herkömmlicher Verzweigungsbefehldurchführung erzeugt, bis die neue FHT Eintragung durchgeführt ist. Während des FHT Eintragung Erzeugung Prozesses ist das SDT Pused, zum der in der Sektorreihenfolge, für die gegenwärtige FHT Eintragung spezifiziert zu werden AIC Sektoren zu lokalisieren, die während eines FHT Fehlzyklus erzeugt wird.

 
Web www.patentalert.com

< (none)

< Compounds and methods to treat cardiac failure and other disorders

> Privacy data escrow system and method

> (none)

~ 00044