False transitions resulting from capacitive coupling between parallel interconnects driven by dynamic circuits are reduced by classifying interconnects based on the timing of expected data transitions in the signals they carry. Interconnects carrying signals expected to transition during a first portion of a processor cycle are treated as one category, while interconnects carrying signals expected to transition during a second, different portion of the processors cycle are treated as a second category. Interconnects of different categories are interdigitated, a resets of dynamic driving circuits are tuned so that, at any given time, alternate interconnects are "quiet" or stable. Therefore interconnects being driven with data transitions are directly adjacent to quiet lines, and foot devices are implemented as necessary to prevent coupling expected during the reset phase. Such foot devices are implemented within receiving circuits to preclude capacitive coupling between the driven interconnect and the quiet line from having any significant effect. Extra quiet lines may be employed as needed. The evaluation phases of dynamic circuits driving adjacent interconnects may overlap, so that an interconnect within a first category is high or low--but not transitioning--when an adjacent interconnect within a second category is rising or falling, and vice versa. The evaluation phases may also be completely offset, so the an interconnect within a first category is low when an adajcent interconnect within the second category is rising, high, or falling.

 
Web www.patentalert.com

< (none)

< Integrated network security access control system

> Radio ATM transceiver

> (none)

~ 00004